Upload Code
loading-left
loading loading loading
loading-right

Loading

Profile
No self-introduction
codes (4)
Point tracking
no vote
#include #include #include #include #include #include #define LOG_ TAG "native"#define LOGI(...) __ android_ log_ print(ANDROID_ LOG_ INFO, LOG_ TAG, __ VA_ ARGS__ )extern "C"{using namespace cv;using namespace std;CascadeClassifier *faceClassifier;ANativeWindow *nativeWindow;JNIEXPORT void JNICALL Java_ com_ study_ imagefacerecognition_ MainActivity_ loadModel(JNIEnv *env, jobject instance, jstring detectMode_ );JNIEXPORT jboolean JNICALL Java_ com_ study_ imagefacerecognition_ MainActivity_ process(JNIEnv *env, jobject instance, jobject bitmap);JNIEXPORT void JNICALL Java_ com_ study_ imagefacerecognition_ MainActivity_ setSurfaceview(JNIEnv *env, jobject instance, jobject surface, jin
buptwzy
2017-12-27
1
1
Beiyou digital experiment code
no vote
Experiment 1: design and implementation of Quartus Ⅱ schematic input method experiment 1: experimental requirements: ① design and implement a half adder with logic gate, simulate and verify its function, and generate a new half adder graphic module unit. &(2) a full adder is designed and implemented by using the half adder module and logic gate generated in Experiment 1. The function of the full adder is verified by simulation and downloaded to the experimental board for testing. The input signal is required to be set by the dial switch and the output signal is displayed by the LED. &Function f is designed and implemented with 3-wire-8-wire decoder and logic gate. The function is verified by simulation and downloaded to the experimental board for testing. The input signal is required to be set by dial switch, and the output signal is displayed by LED. 2: Report content
buptwzy
2017-12-27
1
1
Eight digit nixie tube dynamic display
no vote
library ieee;use ieee.std_ logic_ 1164.all;use ieee.std_ logic_ arith.all ;use ieee.std_ logic_ unsigned.all ;--------------------------------------------------------------------entity seg_ display is  port( clk    :  in   std_ Logic; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; reset & nbsp;: & nbsp; in & nbsp; & nbsp; & nbsp; STD_ Logic; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; -- define reset signal & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; & nbsp; ledag & nbsp;: & nbsp; out & nbsp; STD_ logic_ vector(6 downto 0);   --
buptwzy
2017-12-27
0
1
Background separation
no vote
//--------------------------------------[program description] --- --- --- / / program description: opencv3 introduction to programming opencv2 book comes with sample program 20 / / program description: background separation / / test operating system: Windows 7 64bit / / test ide version: Visual Studio 2010 / / opencv version used for testing: 2.4.9 / / November 2014, revised by @ light ink_ Hairy Nebula//------------------------------------------------------------------------------------------------
buptwzy
2017-12-27
0
1
No more~