Upload Code
loading-left
loading loading loading
loading-right

Loading

Profile
No self-introduction
codes (2)
OpenNI image viewer
no vote
Application background *  OpenNI 2.x Alpha                                                          * *  Copyright (C) 2012 PrimeSense Ltd.                                        * *                                                                            * *  This file is part of OpenNI.                                              * *             &nbs
ghassem
2016-08-23
0
1
VHDL code for Adder / Subtractor
4.0
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY adder IS PORT(Cin        : IN STD_LOGIC; Carry        : IN STD_LOGIC;  X,Y        : IN STD_LOGIC_VECTOR(3 DOWNTO 0);  S            : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); Cout,A,B,C,D    : OUT STD_LOGIC;  rseg            : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END adder; ARCHITECTURE Behavior OF adder IS SIGNAL Sum: STD_LOGIC_VECTOR(4 DOWNTO 0); BEGIN PROCESS (Cin, X,Y) BEGIN IF Cin ='0' THEN Sum<= ('0' & X)+Y+Carry; ELSE         Sum<= ('0' & X)-Y; END I
ghassem
2016-08-23
0
1
No more~