Upload Code
loading-left
loading loading loading
loading-right

Loading

Profile
No self-introduction
codes (1)
implementation of OR gate using VHDL
no vote
entity or1 is (a,b:in std_logic; y:out std_logic); architecture dataflow of or1 is begin y<=a or b; end dataflow;
BATHINDA
2016-08-23
0
1
No more~