Upload Code
loading-left
loading loading loading
loading-right

Loading

Profile
No self-introduction
codes (1)
generate gold code in simulink based vhdl
no vote
------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; use work.clock_pkg.all; entity xlclkprobe is   port (clk             : in std_logic;         clr             : in std_logic;         ce              : in std_logic;         fakeOutForXst   : out std_logic);
smankch14417170
2016-08-23
0
1
No more~