Upload Code
loading-left
loading loading loading
loading-right

Loading

Profile
No self-introduction
codes (1)
analog to digital converter
no vote
a-- Analog-to-Digital Converter Model -- +-----------------------------+ -- | Copyright 1995-2008 DOULOS  | -- |      Library: analogue      | -- |    designer : Tim Pagden    | -- |     opened:  2 Feb 1996     | -- +-----------------------------+ -- Architectures: --   02.02.96 original --   20/05/08 edited to replace vfp_lib with numeric_std library ieee;   use ieee.std_logic_1164.all;   use ieee.numeric_std.all; entity ADC_8_bit is   port (analog_in : in real range -15.0 to +15.0;         digital_out : out std_logic_vector(7 downto 0)        ); end entity; architecture original of ADC_8_bit is<
hellogoodbye
2016-08-23
0
1
No more~