Upload Code
loading-left
loading loading loading
loading-right

Loading

Profile
No self-introduction
codes (2)
AHB transfers to APB source and APB read/write ver
4.0
Converts AHB peripheral transfers to APB transfers The 16-Slot APB Bridge provides an interface between the high-speed AHB  domain and the low-power APB domain. The Bridge appears as a slave on AHB,  whereas on APB, it is the master. Read and write transfers on the AHB are  converted into corresponding transfers on the APB. As the APB is not  pipelined, wait states are added during transfers to and from the APB when the AHB is required to wait for the APB protocol. The AHB to APB Bridge comprises of a state machine, which is used to control the generation of the APB and AHB output signals, and the address decoding  logic which is used to generate the APB peripheral select lines.  All registers used in the system are clocked from the rising edge of the  system clock HCLK, and use the asynchronous reset HRESETn
parkershe
2016-08-23
8
1
UART Verilog sorce code and Simulation code and FI
no vote
It is programed by verilog language and main code is UART, The main source code are uart_receiver.v /uart_transmitter.v/lpm_mux0.v/myfifo.v....... some wave file can help you understand more simulation information.
parkershe
2016-08-23
0
1
No more~